Vehicle2: Fear and Aggression


This chapter describes three different vehicles, all of which can be thought of as more complex versions of vehicle 1. These new vehicles reflect two new innovations: (1) they all have more than one sensor/motor system (to be precise, two motors and two sensors each), and (2)the pattern of connectivity from sensors to motors is manipulated. There is still a directly proportionate relationship between the strength of the signal detected by the sensor and the speed generated by the motor to which the sensor is connected.

Vehicle 2a has the left sensor connected to the left motor, and the right sensor connected to the right motor. The behavior of this vehicle is quite interesting. If the sensor source is directly ahead, it will speed up and charge the source, running into it if the vehicle's path is not deflected. However, if the source is off to one side, this vehicle will turn away from the source. Braitenberg describes it as a COWARD.

Vehicle 2b has crossed connections -- (NB: why might this innovation be important to psychologists!!) -- in which the left sensor is connected to the right motor, and the right sensor is connected to the left motor. When the detected quality is dead ahead, this vehicle is like the previous one, and moves straight into it. However, when the signal source is to the side, this vehicle will turn towards it. Indeed, given enough time, the vehicle is guaranteed to hit the source of the signal, provided that it stays in the vicinity of the source. Braitenberg labels it as AGGRESSIVE.

Vehicle 2c has both sensors connected to each motor. Depending on how this connections are made, how might it behave?

Again, think about these little thought experiments in regards to descriptive complexity for the designer vs. descriptive complexity for the observer. Does it make sense to say that we get a lot of complex behavior "for free" given the simple manner in which these machines are built?


Pearl Street | Vehicles Home Page | Dawson Home Page |